本项目利用VHDL语言设计并实现了一种VGA彩色条纹生成程序,能够实时产生多种颜色和模式的条形图显示效果。
用VHDL语言编写的彩条显示程序部分如下:
architecture behave of VGA is
-- 水平定时信号
constant h_data : integer := 640;
constant h_front: integer := 16;
constant h_back: integer := 48;
constant h_sync: integer := 96;
constant h_period: integer:= h_sync + h_data + h_front + h_back;
-- 垂直定时信号
constant v_data : integer := 480;
constant v_front : integer := 11;
constant v_back : integer := 32;
constant v_sync : integer := 2;
constant v_period: integer:=v_sync+v_data+v_front+v_back;
signal henable, venable : std_logic;
signal clk50M : std_logic;
signal hcnt: std_logic_vector(9 downto 0); -- 水平像素计数器
signal vcnt: std_logic_vector(9 downto 0); -- 垂直线计数器